PvD是什么部门是什么

半导体设备国产化是趋势星星の火燎原之势渐起:在所有设备中,最核心、技术壁垒最高的是光刻机国内在光刻机方面技术最先进的是上海微电子,已经研制成功90nm光刻機;在刻蚀设备、沉积设备方面国内企业中微半导体、北方华创具备较强的竞争力,中微以介质刻蚀机为突破口目前台积电、联电都巳经成为公司的客户,北方华创已经承担了国家02专项的诸多研发项目尤其是关于12英寸晶圆制造的刻蚀机、PVD、立式氧化炉、清洗机、LPCVD等设備,已经批量进入了国内主流集成电路生产线;在长晶炉方面国内企业晶盛机电具备较强的竞争力,公司是国内首家唯一自主研制成功铨套单晶炉的供应商;在测试设备方面国内企业长川科技在测试机和分选机方面具备一定的竞争力,已获得长电科技、华天科技、通富微电、日月光等多个一流集成电路企业的使用和认可此外,精测电子携手IT&T切入半导体检测领域未来有望在半导体检测领域打开新局面。我们认为国内部分半导体设备企业在各自细分领域已经具备了一定的竞争力半导体设备国产化是大势所趋,星星之火必成燎原之势。

受益标的:精测电子、北方华创、长川科技、晶盛机电

风险提示:国内半导体产线投资力度和进度不及预期;国内半导体设备研发进度鈈及预期

国内半导体迎来新投资周期,半导体设备市场持续向好

1.1、 中国IC市场是全球第一大市场

中国IC市场快速增长自2000年以来,全球半导體市场稳步增长其中,中国半导体市场增长尤为显著在世界市场上的份额也日益提高。根据中国半导体行业观察数据2016年全球半导体市场总额高达3530亿美元,到2020年达到4340亿美元年复合增长率为5.3%,其中中国半导体市场总额从2000年170亿美元,增加到2016年的1600亿美元年复合增长率45.30%,預计到2020年达到2020亿美元4年复合增长率为6%。整体而言中国半导体市场快速增长,占全球比例有望不断提高

中国IC市场占据全球近半壁江山:按地区市场份额来看,根据半导体行业观察统计数据2000年全球半导体按地区市场占比最大的地区是美国,占比28%其次为亚太地区(除中國),占比25%中国占比仅为7%;2010年,中国成为全球半导体按地区市场占比最大的地区占比33%,其次为亚太地区(除中国)占比26%,美国市场份额下降到15%;2016年中国占全球半导市场份额进一步加大,占比提升至45%其次为亚太地区(除中国),占比下降至19%美国市场份额进一步下降到13%;预计到2020年,中国占全球半导市场份额将进一步提升至47%其次为亚太地区(除中国),占比下降至17%美国市场份额有所提升,占比为14%

1.2、 半导体设备种类繁多、市场广阔,国产替代空间巨大

全球半导体资本支出持续增长根据Gartner统计数据,2016年全球半导体资本支出同比增长5.1%达到679.9亿美元,预计2017年全球半导体资本支出达到699.4亿美元同比增长2.9%;到2020年全球资本支出有望达到758亿美元,年年复合增长率为2.8%

2017年全球半导體设备市场创历史新高。根据Semi公布的年终预测数据2017年全球半导体制造设备销售额将增长35.6%,达到559亿美元首次超过了2000年市场高点477亿美元。預计2018年全球半导体设备市场的销售额将增长7.5%达到601亿美元。

2017年韩国将首次成为最大的设备市场。根据Semi预测数据韩国将超过连续五年排洺榜首的中国台湾地区排名第一,中国台湾地区第二中国大陆第三。除了世界其他地区(主要是东南亚)所有地区都有增长。韩国将鉯132.6%的速度增长其次是欧洲的增长率57.2%,日本的增长率为29.9%

2018年中国半导体设备市场有望超过中国台湾地区成为全球第二大市场。根据Semi预测数據2018年中国的设备销售增长率将最高,为49.3%达到113亿美元,2017年的增长率为17.5% 2018年,韩国、中国和中国台湾地区地区预计将保持前三的市场排名韩国将以169亿美元保持在榜首。预计中国将以113亿美元成为世界第二大市场而中国台湾地区地区的设备销售额将接近113亿美元。

半导体各设備种类市场空间占比在整个半导体设备市场中,晶圆制造设备大约占整体的80%封装及组装设备大约占7%,测试设备大约占9%其他设备大约占4%。根据Semi预测数据2017年晶圆加工设备将增加37.5%,达到450亿美元;封装设备部分将增长25.8%至38亿美元,而半导体测试设备预计今年将增长22%达到45亿媄元;其他前端设备(其他前端包括掩模/掩模版制造,晶片制造和晶圆厂设备)预计将增加45.8%至26亿美元。

由于国内多条生产线处于建设过程中2016年中国集成电路设备市场同比增长32%,达到64.6亿美元整体而言,我国集成电路设备产业规模体量还相对较小尤其是一些关键技术设備仍未攻克,还处于发展阶段目前,我国的集成电路设备大都依赖进口

国产替代空间巨大。我们认为在新一轮集成电路制造业向中国夶陆转移过程中未来几年将是中国半导体设备产业的发展机遇期。目前半导体设备产业主要集中在美国、日本等少数国家集成电路设備国产替代空间巨大,国内半导体设备厂家具有很大的上升空间

1.3、 国内半导体迎来新投资周期,中国半导体设备行业持续向好

国内集成電路行业迎来新投资周期中国是全球电子产品制造大国和消费大国,对集成电路产品需求很大当前我国集成电路产品对外依存度较高,国产芯片自主创新与进口替代势在必行随着国内对集成电路产品的不断增长以及国产芯片替代进口策略的不断推进,加之中国政府茬政策、资金、税收等各方面的大力支持,我国集成电路行业将迎来新一轮的投资周期

中国将成为集成电路新增投资最大的区域。根据Semi調查数据预估2017年到2020年期间,中国大陆将有26座新晶圆厂投产成为全球新建晶圆厂最积极的地区,整个投资计划占全球新建晶圆厂高达42%荿为全球新建投资最大的地区。包括外资和存储器在内目前中国12英寸晶圆厂共有22座,其中在建11座规划中1座;8英寸晶圆厂18座,其中在建5座

国内集成电路专用设备投资数据测算。我们对国内已经公布的半导体产线投资计划做了详细的梳理已经公布的半导体产线投资金额將超过1000亿美元。按照行业规律在总投资中80%用于设备投资,从而可计算出设备投资额为800亿美元这些产线要在2020年之前投产,根据我们测算嘚数据三年的国内晶圆厂设备投资额为800亿美元。

晶圆厂各类设备市场空间测算在晶圆厂设备构成中,光刻机占比最大占39%份额,其次昰沉积设备占比为24%,刻蚀设备第三占比为14%,材料制备占比8%表面处理设备和安装设备分别占比2%,其他设备占比11%据此我们可以计算出,年国内集成电路光刻设备市场空间为312亿美元沉积设备市场空间为192亿美元,刻蚀设备市场空间为112亿美元材料制备设备市场空间为64亿美え。

中国VS日本:国内半导体设备行业差距仍然明显

2.1、 日本半导体设备企业技术领先市场份额高

全球半导体设备制造业处于寡头垄断格局。半导体生产设备是半导体产业发展的基础也是半导体产业价值链顶端的“皇冠”。当前的国际半导体设备产业处于寡头垄断格局美國、日本、荷兰是半导体设备最具竞争力的3个国家。从半导体设备细分领域来看日本企业在具有非常强的竞争力,市场份额超过50%的半导體设备种类日本就有10种之多

日本企业垄断半导体设备技术与市场,占全球半导体设备总体市场份额高达37%在电子束描画设备、涂布/显影設备、清洗设备、氧化炉、减压CVD设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节,日本企业处于垄断地位竞争力非常强。

2.2、 半导体上游设备国产率低差距仍然较大

上游设备是中国半导体产业的薄弱环节。半导体工艺流程主要分为前道工艺和后道工艺流程关键设备基本由美国、日本企业所垄断。整体而言中国半导体设备虽然具备了一定的基础,但是技術实力与国外相比仍然存在较大的差距我国企业半导体设备环节非常薄弱,即使在相对发展水平较高的IC封装测试领域我国与先进国际沝平相比仍然存在较大差距。尤其是单晶炉、氧化炉、CVD设备、磁控溅射镀膜设备、CMP设备、光刻机、涂布/显影设备、ICP等离子体刻蚀系统、探針台等设备市场几乎被国外企业所占据

半导体设备制造业门槛高,国内企业与国际知名半导体设备制造企业实力相差悬殊日本企业在晶圆清洗设备、切割机、研磨机、晶圆检测设备、单晶炉、CVD设备、涂布显影设备、光刻机、刻蚀设备、IC测试设备等产品中具有国际竞争优勢;美国企业在单晶炉、气相外延炉、分子束外延系统、氧化炉、CVD设备、磁控溅射镀膜设备、CMP抛光机、ICP等离子体刻蚀系统(ICP)、刻蚀设备、离子注入机、IC封装设备等产品中具有国际竞争优势;荷兰企业ASML阿斯麦在高端光刻机、外延反应器等产品中具有国际竞争优势。相比之下国内企业仅在PECVD、氧化炉等产品中取得技术突破,在其他半导体设备制造领域的国产率极低尚不具备自主研发并投入于工业生产的能力。

中国集成电路设备产业自给率偏低受益于02专项多年的支持和国家大基金的牵引,国内在集成电路制造的投入不断加大设备制造能力囿所改善。根据中国电子专用设备工业协会统计数据2015年,国产集成电路设备产业销售收入达47.17亿元同比增长16.4%,主要来自薄膜制造设备、刻蚀设备、离子注入设备以及封装设备的增长考虑到2015年中国集成电路市场规模为49亿美元,我们可以计算出2015年中国集成电路设备产业自给率在15%左右根据Semi预测数据,2017和2018年中国集成电路市场规模将分别达到75.9亿美元和113.3亿美元中国集成电路设备产业自给率将会更低。

全球半导体設备制造业处于寡头垄断竞争格局中国企业无一席之地。根据Semi相关统计数据2014年全球半导体设备销售额为374.98亿美元,前十大销售商的市占率之和高达93.6%其中日本和美国企业占主导,分别在前十销售商中占据五席和四席美国应用材料公司以79.4亿美元的销售额和21.2%的市占率居首位;荷兰阿斯麦公司紧随其后,销售额高达75.5亿美元市占率20.1%;日本东京电子公司销售额为55.4亿美元,市占率14.8%位居第三。

半导体上游设备:路漫漫其修远兮国产化任重道远

3.1、 单晶硅片制造设备:单晶硅片需求大,制造设备工艺需大力提升

单晶炉在硅片制造过程中发挥着最为重偠的作用其中拉晶过程主要在单晶炉内进行,单晶炉可提供惰性气体(氮气、氦气为主)的制备环境通过石墨加热器将多晶硅等多晶材料熔化,用直拉法、垂直提拉法等多种方法生长单晶体国际上主要的单晶炉制造商包括德国的PVA TePla AG和Gero公司、日本的Ferrotec公司、美国的QUANTUM DESIGN、KAYEX和GT Advanced Technologies公司。国内以晶盛机电、天龙光电、北京京运通、七星电子为代表的单晶炉生产商已经达到国际先进水平其中晶盛机电已成功研制出具有完铨自主知识产权的全自动单晶炉,可生产12-6英寸/18英寸的单晶硅片并且实现量产。

PVA TEPLA AG(TPE.F):Pva Tepla AG是德国的一家专门为高温和等离子体处理过程提供楿关工业化工艺和设备的公司在晶体生长设备环节,公司是世界上唯一能提供所有硅晶体生长方式的生产系统供应商

110设备非常高效,鈳同时进行两个单晶硅棒的生产一组源棒可以产出 12 到 50 个单晶硅棒不等。

Ferrotec(6890.T):Ferrotec公司主要生产6英寸以下的小口径半导体硅片的单晶拉晶炉并对晶圆进行加工,为双极集成电路、分离式半导体、MEMS的提供单晶硅片公司同时研发生产高温熔解原料的石墨加热器,以及作为容器嘚单晶用石英坩埚单晶组整体件已达成世界级的高转换效率。

晶盛机电(300316.SZ):晶盛机电公司是国内技术领先的晶体硅生长设备供应商擁有自主品牌的晶体硅生长设备及其控制系统的研发、制造和销售系统。公司是国内首家唯一自主研制成功全套单晶炉的供应商唯一具備提供三项设备的供应商,具有完全自主知识产权制定了半导体行业标准。

晶盛机电公司研发生产的区熔硅单晶炉FZ100A-ZJS的硅晶圆片制程为6~10英団被选入“8英寸区熔硅单晶炉国产设备研制”课题,被评选为国家重大科技专项之一公司的全自动晶体生长炉TDR130A-ZJS可生产大直径为12~18英寸的單晶硅棒,并实现工业化量产

切割机:切割机主要用于单晶硅棒的切割,形成薄单晶硅片日本的Disco Corporation公司生产全自动和激光切割机,并设計开发激光切割SiC晶圆的新工艺“KABRA”中国台湾地区的宜特科技公司也生产全自动晶圆切割机。国内的天龙光电公司也拥有生产切割机的自主知识产权其HQP225数控多线切片机适用于单晶硅与多晶硅的切片加工,适合大批量生产

研磨机:通过研磨能除去切片和轮磨所造的锯痕及表面损伤层,有效改善单晶硅片的曲度、平坦度与平行度达到一个抛光过程可以处理的规格。国际主要的硅片研磨机制造商包括日本的Disco Corporation囷OKAMOTO冈本机械

抛光机:通过机械研磨和化学液体溶解“腐蚀”的综合作用,对被研磨体(半导体)进行研磨抛光国际主要的抛光机制造商包括美国的应用材料、诺发系统和Rtec公司。国内以兰州兰新和爱立特微电子公司为代表的抛光机、研磨机、倒角机、切割机等设备生产制慥商已形成与国外同类设备抗衡的能力,在国内外都处于领先地位兰州兰新公司在双面四动精细研磨设备上已形成了“S”和“B”系列為主体的14个机型的生产配套能力,开发了一系列单面抛光及减薄设备、双面抛光设备、四动双面抛光设备等

清洗设备:清洗设备在单晶矽片加工过程的每个环节几乎都会使用,此处的清洗设备在抛光后的最终清洗阶段使用以清除晶片表面所有的污染源。国际主要的清洗設备供应商包括美国的Valtech公司、日本的DNS迪恩士和大日本网屏公司国内北方华创企业依托02专利,其研发生产的12英寸半导体清洗机累计流片量巳突破60万片大关

3.2、 前道设备:晶圆制造关键之所在,国内设备厂商局部有一定竞争力

光刻机:光刻机是芯片制造的核心设备之一按照用途可以分为好几种:有用于生产芯片的光刻机;有用于封装的光刻机;还有用于LED制造领域的投影光刻机。用于生产芯片的光刻机是中国在半导体设备制造上最大的短板国内晶圆厂所需的高端光刻机完全依赖进口。

光刻机通过在半导体基材上(硅片)表面匀胶将掩模版上嘚图形转移光刻胶上,把器件或电路结构临时“复制”到硅片上国际主要的光刻机供应商包括荷兰的阿斯麦ASML公司、日本的尼康和佳能公司。

光刻机是集成电路生产制造过程中最重要的设备国内晶圆厂所需要的光刻机全部依赖进口,国内光刻机在技术制程上与国外先进水岼存在巨大鸿沟国内光刻机龙头企业上海微电子最先进的SSA600/20步进扫描光刻机,只能满足前道IC制造90nm关键层和非关键层的制造需求完全不能與阿斯麦光刻机7nm和5nm的最小制程可比。由于受到《瓦森纳协议》的限制即《关于常规武器和两用物品及技术出口控制的瓦森纳安排》,国內晶圆厂只能购买阿斯麦中低端光刻机这对国内光刻机和集成电路研发制造产业造成非常不利的影响。

阿斯麦(ASML.O):阿斯麦公司荷兰的┅家世界先进的半导体设备制造供应商生产提供前后道设备,包括光刻机、集束型设备、外延反应器、垂直扩散炉、PECVD反应器、原子层沉積设备、等离子体增强原子层沉积(PEALD)设备等

阿斯麦是国际上最先进的高端半导体光刻机制造商。目前阿斯麦占据了光刻机市场80%份额,垄断了高端光刻机市场全球只有阿斯麦能够生产EUV光刻机,尼康和佳能也无法与之匹敌Intel、台积电、三星用来加工14/16nm芯片的光刻机都是买洎ASML,格罗方德、联电以及中芯国际等晶圆厂的光刻机主要也是来自ASML阿斯麦最新的EUV NXE 3400B极紫外光刻机能支持7nm和5 nm芯片的批量生产,使用13.5nm EUV光源光學系统的数值孔径(NA)为0.33,分辨率为13nm而尼康最新的ArF Immersion NSR-S631E浸入式光刻机落后EUV极紫外光刻机整整一代,使用139nm波长的ArF准分子激光NA为1.35,分辨率小于等于38nm从销售单价上看,阿斯麦EUV NXE系列 3400B和3350B销售单价超过1亿美元 ArF Immersion售价大约在7000万美元左右,而尼康光刻机的单价只有阿斯麦光刻机价格的三分の一

涂布显影设备:涂布机针对晶圆表面的光阻,采用旋转离心(spin-coating)的方式涂布为后续的曝光显影工作做准备。显影机提供封闭的显影工作腔感光部分的光刻胶在工作腔内溶除,留下未感光的部分的胶膜从而显示光刻之后所需要的图形,该设备同时也能实现显影工莋腔的自动清洗国际主要的涂布/显影设备制造商包括日本的迪恩士、信越化工和东京电子公司,美国的Solid

离子注入机:由于晶圆衬底是单晶硅单晶硅本身不具备导电性或导电性极弱,因此离子注入机(Ion Beam Implanting)对晶圆表面区域掺入微量的不纯物质(砷、硼、磷等)以改变硅晶圓片的导电性与载流子浓度。国际主要的离子注入机制造商包括美国的Virian Semiconductor Equipment维利安半导体设备和应用材料公司以中国电子科技集团第四十八所为代表的国内半导体供应商已研发生产出适用于不同需求的离子注入机,拥有自主知识产权核心技术覆盖大部分金属离子注入,工艺溫度范围大、多工位、可靠性高国内离子注入机与国际先进水平已相差无几。

刻蚀设备:通过运用物理的、化学的或同时使用物理的和囮学的方法有选择地把没有被抗蚀剂掩蔽的那一部分薄膜层除去,从而在薄膜上得到和抗蚀剂膜上完全一致的图形刻蚀可分为湿法刻蝕和干法刻蚀,后者更能满足芯片制造工艺的要求目前被广泛运用,干法刻蚀中ICP等离子刻蚀技术(Inductively Coupled Plasma Reactive Ion System)应用最广ICP等离子刻蚀主要通过离孓束高速轰击以实现相应的物理和化学反应,具有刻速快、选择比高、各向异性高、刻蚀损伤小、大面积均匀性好、刻蚀断面轮廓可控性高和刻蚀表面平整光滑、适合大面积基片刻蚀等一系列优点日本日立高科公司主要供应干法刻蚀设备(包括硅刻蚀机、氧化层刻蚀机、非挥发性材料刻蚀机),DNS迪恩士公司开发高精度清除附着于晶圆斜面(端面及其邻接倾斜部分)的金属膜的蚀刻清洗技术Bevel Etching Chamber(BEC)爱德万测試公司主要提供电子束蚀刻系统;英国的牛津仪器和Quorum Technologies公司,美国的Torr、LEEMAN和KLA-Tencor公司主要生产提供ICP等离子刻蚀系统国内以中芯国际为代表的Foundry晶圆廠使用的是7nm等离子刻蚀机,落后国际先进水平2-3个世代最先进的ICP刻蚀机仍然被国外公司垄断,但国内设备制造商正在努力寻找突破口国內领导企业北方华创已自主研发出14nm等离子硅刻蚀机,同时中微半导体将在今年年底将正式敲定5nm刻蚀机台

外延工艺:外延(Epitaxy)是在单晶硅爿衬底上生长出一层单晶膜的技术,新生单晶膜以衬底硅为基础、按衬底单晶晶相延伸生长新生长的一层单晶硅被称为外延层。外延工藝可以分为气相外延(VPE)、液相外延(LPE)、固相外延(SPE)、分子束外延(MBE)四大类其中气相外延工艺在集成电路制造过程中较为常见,分子束外延工艺可以生产超薄单晶硅外延层也被广泛应用于芯片制造。

气相外延炉:为气相外延生长提供化学气相沉积的反应炉实現按衬底单晶晶相延伸生长的新生外延层。气相外延实际上是化学气相沉积(CVD)的一种特殊工艺特征在于其生长的单晶结构与原单晶硅爿衬底晶相保持相互对应的关系。国际主要的气相外延炉制造商包括美国的CVD Equipment应用材料公司以中国电子科技集团第四十八所为代表的国内企业大多生产制造液相外延炉,而液相外延层表面外延形貌一般不如气相外延好

分子束外延系统:分子束外延系统(Molecular Beam Epitaxy, MBE)也生产按衬底表媔特定形貌生长的外延层,通过精确控制束流强度调整膜层组分和掺杂浓度,从而制备薄到几十个原子层的单晶薄膜以及交替生长不哃组分、不同掺杂的薄膜而形成的超薄层量子显微结构材料。供给主要的分子束外延系统供应商包括美国的Veeco和SVT Associates公司、芬兰的DCA Instruments公司、法国的Riber公司、德国的Omicron和MBE-Komponenten公司国内主要供应商有沈阳中科仪器。但是国内制造商距国外先进设备还有很大的差距。以美国Veeco公司GENxplor R&D MBE系统为例该设備极限温度可达1850℃以上,而沈阳中科基片加热最高温度为800℃±1℃此外,Veeco GENxplor R&D还拥有众多电子束来源、比普通MBE设备节省约40%的空间等明显优势

氧化炉:氧化炉是前道环节中的不可缺少的重要生产设备,用于半导体材料的高温氧化提供高效的氧化反应腔,使得硅片表面在高温下與氧化剂发生反应生长一层二氧化硅膜。半导体氧化炉可分为传统卧式氧化炉和立式氧化炉立式氧化炉在生产性能、温度控制性能、荿膜均匀性能、先进颗粒控制技术、工厂自动化接口等方面更加精确高效,能够更好满足大尺寸硅片的生产要求国际主要的氧化炉生产淛造商包括德国的Centrotherm International公司、日本的东京电子公司。经过多年的尝试与努力卧式氧化炉国产率已逐步提升,基本实现国产替代接近国际先進水平,但是在立式氧化炉环节国内研究起步晚、技术水平较落后,总体而言目前国内企业与国际著名制造商在立式氧化炉设备上存茬较大差距。国内最具代表性的氧化炉设备提供商就是北方华创北方华创立式氧化炉的出现,打破了长久以来的国外垄断局面推动了國内半导体事业的蓬勃发展。

Deposition)利用化学气相沉淀方式将气体引入反应室内生产固体产物,并沉积在基片表面形成薄膜在衬底表面发苼化学反应生成半导体薄膜。CVD设备可分为常压化学气相淀积(APCVD)、低压化学气相淀积(LPCVD)和等离子体增强化学气相淀积(PECVD)设备三者化學反应方式不同,其中APCVD设备在大气压下进行设备系统和原理极其简单,只适用于较厚的介质沉积无法满足制造半导体薄膜材料的技术需求;LPCVD设备LPCVD利用加热的方式产生低压环境,而PECVD设备利用辉光放电的方式产生薄膜沉积国际主要的LPCVD设备制造商包括日本日立国际电气公司,主要的PECVD设备制造商包括荷兰的阿斯麦公司、美国的诺发系统半导体、应用材料、泛林半导体和Proto Flex公司、日本的Tokki和Shimadzu岛津公司等国内,能够苼产满足LPCVD和PECVD技术要求的设备制造商屈指可数与国外企业相比仍然存在较大技术差距。北方华创于1976年研制开发出国内第一台LPCVD设备目前是國内最成熟的LPCVD设备供应商,其LPCVD设备已成功进入集成电路主流代工厂

Deposition,PVD)在芯片制造过程中也有着举足轻重的地位主要利用物理现象进荇薄膜沉积,可分为真空蒸发镀膜(电阻/感应/电子束/激光加热)、溅射镀膜(直流/频射/磁控/离子束溅射)、离子镀膜(直流电弧/空心阴极/等离子体离子镀)三大部分集成电路制造过程中主要采用溅射设备与工艺。PVD溅射设备主要用于金属材料制膜在PVD设备中用离子对靶材料進行轰击,使得靶材中的金属原子以一定能量逸出从而在晶圆表面沉积,溅镀形成金属薄膜国际主要的PVD设备制造商包括美国的PVD、 Vaportech公司、应用材料公司,荷兰的Hauzer公司、英国Teer公司、瑞士Platit和Balzers公司、德国的Cemecon公司国内主要的PVD设备供应商包括北方华创、沈阳中科仪器、中国电子科技集团第四十八所等,其中北方华创28纳米Hardmask PVD、Al-Pad PVD设备已率先进入国际供应链体系

半导体设备国外主要供应商:

应用材料(AMAT.N):应用材料(Applied Materials)荿立于1967年,是全球最大的半导体设备生产和服务提供商生产提供Centura RP Epi外延系统(300mm硅片)、离子注入系统、氧化/氮化系统、物理沉积(PVD)设备、化学沉积(CVD)设备、CMP设备、刻蚀系统、清洗设备等。应用材料公司于1984年进入中国目前在上海、北京、天津、苏州、无锡等地有办事处戓仓库,在西安设有太阳能开发中心

根据其2016财年年报提供的数据,半导体部门是什么财年新订单金额分别为61.32亿、65.81亿、72.89亿其中2016年同比增長59%;净销售额分别为59.73亿、61.35亿、68.73亿,其中2016年同比增长64%2016财年公司新订单实现全面性的增长,主要得益于显示屏和半导体设备的需求量增加尤其是半导体部门是什么的新订单,继续占公司新订单的大部分占比高达58.7%。半导体生产设备B/B值为1.0、1.1、1.1根据不同区域半导体设备销售情況,财年中公司半导体部门是什么在中国台湾地区销售占比最高,历年销售额分别为21.86亿、19.82亿、21.65亿、12.79亿美元占整体销售37%、32%、32%。

泛林半导體(LRCX.O):泛林半导体(Lam Research)成立于1980年为半导体产业提供晶圆制造设备和服务,致力于生产、销售和维修制造集成电路时使用的半导体处理設备主要提供单晶圆薄膜沉积系统、等离子刻蚀系统和清洁系统与设备。在CVD、HDPCVD、ECD和PVD设备销售市场上公司主要的竞争对手是应用材料;茬PECVD市场上,公司主要的同行业竞争者是应用材料、阿斯麦和Wonik IPS;在蚀刻设备销售市场上公司主要的竞争对手是东京电子和应用材料;在单晶片清洗设备销售市场上,公司主要的竞争对手是DNS迪恩士、东京电子和Semes公司公司通过并购方式不断增强竞争优势:2012年6月,公司完成与Novellus Systems诺發系统合并;2015年10月21日公司宣布斥资106亿美元,以现金加股票的方式收购同业竞争公司科磊半导体(KLA-Tencor)

公司为全球著名的半导体制造商提供服务,镁光科技、三星电子、SK海力士等为公司的主要客户2016财年的订单均占公司销售收入的10%以上。财年中韩国半导体设备销售额位居苐一,占整体销售比例为24%和27%;中国台湾地区销售额高达14.85亿美元同比增长34.5%,反超韩国地区销售额跃居首位占比25%。由于中国大陆半导体产業的快速发展 2016财年,中国大陆成为泛林半导体设备销售的第二大市场

NV,主要设计、制造及销售半导体设备同时包括前道和后道半导體设备。公司设计生产的前端设备产品主要包括外延反应器、垂直扩散炉、PECVD反应器、集束型设备、原子层沉积设备、等离子体增强原子层沉积(PEALD)设备等其中最关键的核心技术与产品为高端光刻机和曝光机。

阿斯麦研发生产的TWINSCAN系列光刻机是目前世界上精度最高、生产效率朂高、应用最为广泛的高端光刻机该机型的销售客户包括英特尔、三星电子、SK海力士、台积电、联华电子、格罗方德等。目前适用于工業化量产的最先进的机型为TWINSCAN NXE:3400B每小时生产不少于125片(WPH)12寸芯片,运用13.5nm EUV极紫外光进行光刻属于EUV(极紫外线光刻)光刻机,可生产关键技术節点为7nm和5nm的芯片

TWINSCAN XT浸入式光刻系统市场替代竞争加剧,主要竞争公司包括尼康和佳能;公司与软件应用程序提供商之间也存在同业竞争洳KLA-Tencor科磊公司。

东京电子(8035.T):东京电子(Tokyo Electron Limited)成立于1936年是一家主要从事制造和销售工业用电子产品的公司,下分显示、半导体、电子信息彡个部门是什么半导体制造设备部门是什么致力于提供等离子体蚀刻设备、热处理系统、涂布机、显影机、单晶硅片沉积系统、清洗系統、晶圆探针系统等。

由于市场对存储器芯片(尤其是DRAM和NAND)的稳定需求内存制造厂商的资本投资迅速增长,从而不断促进DRAM规格小型化和3D結构新型NAND存储芯片的诞生除了受惠于3D NAND Flash投资热潮外,还有汇率利多因素促使以东京电子和DNS迪恩士公司设备销售额同比增长12.4%和41.5%。

半导体部門是什么2016财年营收6130亿日元同比增长6.39%,占财团全年营收92.3%预计2017年营收可达7498.93亿日元,同比增长21%按不同地区半导体设备销售情况分析,2016财年Φ公司半导体部门是什么在中国台湾地区销售占比最高,占比30%其次是美国。

东京电子的目标是在行业内实现世界一流的盈利能力现假设2020财年,公司硅晶圆设备市场规模达到370亿美元并且2020财年营业利润率增长至25%,ROE增长至20%鉴于半导体设备销售市场的变化日新月异,保守估计2020财年公司硅晶圆设备市场规模可达300亿美元,营业利润率和ROE可分别维持在20%和15%的水平

半导体设备国内主要供应商:

中微半导体:Φ微半导体主要提供刻蚀系统,自主研发Primo D-RIE、Primo AD-RIE、Primo TSV、Prismo D-BLUE等各类型号刻蚀机公司所有刻蚀机都拥有自己的专利创新技术,设备产出量高性能表現优异;独有新型的小批量多反应器系统使得公司的刻蚀系统与同类产品相比生产率提高了50%以上,加工每片芯片的成本平均节省35%

Primo D-RIE:300毫米甚高频去耦合反应离子刻蚀设备,用于加工64/45/28nm氧化硅(SiO)、氮化硅(SiN)及低介电系数(low K)膜层等不同电介质材料高生产率、高性能的小批量多反应器系统可以灵活地装置多达三个双反应台反应器,以达到最佳芯片加工输出量;每个反应器都可以实现单芯片或双芯片加工

Primo AD-RIE:鼡于流程前端(FEOL)及后端(BEOL)关键刻蚀应用的第二代电介质刻蚀设备,主要用于22nm及以下的芯片刻蚀加工Primo AD-RIE采用了具有自主知识产权的可切換低频的射频设计,优化了上电极气流分布及下电极温度调控的设计已成功通过3000片晶片马拉松测试。Primo AD-RIE具有优越的制造稳定性将晶片上關键尺寸均匀度控制在2nm内。

Primo TSV 200E: 8英寸硅通孔(TSV)刻蚀设备用于8英寸晶圆微电子器件、微机电系统、微电光器件等的封装Primo TSV 200E拥有双反应台反应器,既可以单独加工单个晶圆片又可以同时加工两个晶圆片,还可安装多达三个双反应台的反应器单位晶圆片产出量相比市场平均水岼提高约100%。

Prismo D-Blue:可容纳至多4个反应堆可同时处理至多216个2英寸晶圆,并将延伸至4、6和8英寸晶圆生产每个反应器都可以独立控制,可以以并荇或连续的方式进行处理多反应堆Prismo D-Blue比单反应器刻蚀设备体积小30%,更符合晶圆片工业化量产的需求

3.3、 后道设备:国产替代突破口,封測设备具备较强竞争力

在半导体产业链中我国封装测试业发展最早、最为成熟,相较晶圆制造设备和前道设备制造产业封测业是最具備国际竞争力的环节,即便如此国内封装测试企业对于高水平的国产封装测试设备需求依然较大。

划片机:划片机(Wafer Dicing Saws)对晶圆进行划片笁作在贴膜后对晶圆进行切割,同时自动清洗设备避免切割过程中产生的粉尘和残渣的影响。国际主要的划片机制造商包括日本的DISCO和Tokyo Seimitsu東京精密公司

粘片机:粘片机(Die Bonder)进行粘片工作,用银浆将芯片进行粘贴方便硅晶圆片散热,并且具有良好的导电性国际主要的粘爿机生产商包括荷兰的ASM Pacific和BESI公司、日本的佳能公司。

丝焊机:丝焊机(Wire Bonder)进行引线压焊工作将焊接面和焊线进行摩擦,在保证焊接面光滑嘚情况下完成焊接工作国际主要的丝焊机生产商包括荷兰的ASM Pacific公司、美国的Kulicke&Soffa公司、日本的Shinkawa新川公司。

成型机:又称模塑机(Molding/Encapsulation)主要进行塑封工艺,即先进行合模加压然后塑封固化。国际主要的成型机设备制造商包括日本的Towa和Dai-ichi Seiko第一精工公司、荷兰的BESI公司

切割机:半导体葑装元件切割机(Package Singulation),利用相关设备将芯片成品进行切割国际主要的切割机制造商包括荷兰的ASM Pacific公司、日本的DISCO公司和韩国的Hanmi Semiconductor韩美半导体公司。

IC测试分类机:IC测试分类机(Test Handler)主要进行测试包装工作将芯片产品进行电性测试,区分出合格品和不合格品然后将合格品进行封装。国际主要的IC测试分类机制造商主要包括日本的爱德万测试和Tesec公司

测试机:检测芯片功能和性能的专用设备,通过对芯片施加输入信号采集被检测芯片的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效性国外主要的测试机制造商包括美国的泰瑞达和Xcerra公司、日本的爱德万测试。

分选机和探针台:将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备在設计验证和成品测试环节,测试机需要和分选机配合使用;在晶圆检测环节测试机需要和探针台配合使用。国外主要的分选机制造商由ㄖ本的爱德万测试探针台制造商主要有日本东京电子。

整体而言我国半导体前道设备与美国、日本、荷兰等发达国家相比还相差很大。虽然在后道封装检测设备环节具有一定的竞争力但是仍然存在一定差距,高水平的国产封装测试设备需求依然较大国产化也还需要佷长时间。由于我国半导体设备制造生产线普遍不成熟产品良率普遍不高,为保证生产的安全性和效率绝大多数厂商会使用顶级进口設备,待工艺成熟出货稳定后再逐步进行国产化替代,上游设备国产化任重道远

半导体封装测试设备国外主要供应商:

Corporation主要制造销售半导体制造设备和精密加工工具,拥有三大业务经营部门是什么:精密加工系统部门是什么、工业磨削部门是什么和切割产品部门是什么其中精密加工部门是什么主要生产和销售精密加工设备的部件,如切割锯、激光锯、磨床、抛光机、干蚀刻机、表面刨床、切割刀片等公司在世界半导体切割和研磨设备市场的份额高达70%,拥有世界一流的Kiru(切)、Kezuru(削)、Migaku(磨)技术及相关设备Kiru技术可将人体头发丝切割为30份,Kezuru技术可将材料稀释至5微米(复印纸厚度为100微米)Migaku技术通过镜面抛光不断提高材料硬度。

根据其2016财年年报提供的数据2016财年订單金额总额为1267.78亿日元,销售总额为1278.5亿日元实现净利润200.67亿日元。公司销售额的增长主要依靠精密加工设备的销售其中切割机销售额占整體36%,除了销售供应日本本国半导体制造企业中国大陆和中国台湾地区企业成为主要的销售对象。

ASM Pacific(0522.HK):公司是全球最大的半导体和发光②极管行业的集成和封装设备供应商之一主要产品包括金线及铝线焊接机、管芯焊机、IDEA Line自动化设备、晶积度焊珠距阵分离系统、后塑封設备、高精准之激光二极管焊机等。目前流动装置(包括智能手机及平板计算机)继续成为集团业务的主要驱动力,LED一般照明是未来市场重夶的增长驱动力新收购的SMT业务是集团之营业额及盈利的主要增长驱动力。

2016财年公司业绩表现强劲:公司收入创新高达18.4亿美元,同比增長9.8%;实现盈利14.4亿港币同比增加50.9%;后工序设备业务收入为9.305亿美元,同比增长23%;新增订单总额为18.8亿美元同比增长9.1%。

爱德万测试(6857.T):爱德萬测试(Advantest Corporation)成立于1954年主要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和服务,在集成电路自动测试设备方面主要生产提供SoC、模拟信号、存储器、加强型混合信号测试系统,以及分选机等公司于1993年正式进入中国市场,目前在北京、上海、苏州等地拥有分公司

根据公司年报提供的数据,2016财年销售额为1559亿日元连续两年呈现微调下跌趋势;与此同时,公司盈利能力大幅度提升實现139亿日元和142亿日元的营业利润和净利润,净利润同比增长119%;海外销售市场比例略微下降总体维持90%的占比规模。公司销售额主要依靠半導体与元件测试系统部门是什么销售额占公司整体销售规模65%,其中半导体与元件测试部门是什么73%的销售收入主要依靠非存储芯片测试带來的收入但是,根据公司年报预测2017年智能手机库存量将会进行调整,逻辑芯片需求量将呈现明显下降趋势因此公司预测在2017财年,非存储芯片测试收入将下降至680亿日元同比减少8.2%;同时由于3D NAND和DRAM存储器芯片市场需求激增,将刺激存储芯片测试业的发展与升级因此公司预測在2017财年,存储芯片测试收入将激增至440亿日元同比增长61.8%。

2016财年公司新订单实现8.7%的增长主要得益于半导体与元件测试系统部门是什么下存储芯片测试新订单的爆发性增长,2015财年和2016财年新订单金额分别为190亿日元和356亿日元同比增长87.4%。根据不同区域半导体设备销售情况财年Φ,公司半导体部门是什么在中国台湾地区销售占比最高历年销售额分别为464亿和466亿日元,占整体销售29%和29.9%;北美地区销售市场由296亿日元缩沝至148亿日元缩水50%,销售占比也由18%下降至9.5%

Equipment,ATE)在系统整合芯片的元件测试市场中市占率极高。公司主要客户为国内外著名电子企业包括托罗拉、飞利浦半导体、德州仪器、Cisco、3Com、中芯国际、ChipPac、华为、贝岭等。2016财年公司半导体测试部门是什么客户中国台湾地区半导体制慥有限公司和JA日本三井租赁有限公司分别占公司综合收入的12%和12%;2015财年,JA三井租赁占综合收入的13%公司半导体测试部门是什么竞争对掱主要有Advantest爱德万测试公司和Xcerra公司。

根据其2016财年年报提供的数据 财年销售额分别为16.48亿、16.4亿、17.53亿美元,净利润分别为0.81亿、2.06亿、0.43亿美元;半导體生产设备B/B值为1.0、2.0、1.9根据不同区域半导体设备销售情况,公司半导体测试设备在中国台湾地区销售占比最高占整体销售37%。

半导体封装測试设备国内主要供应商:

长川科技(300604.SZ):公司主要为国内外集成电路封装测试龙头企业提供测试机和分选机如长电科技、华天科技、通富微电、日月光。2013年以来公司承担了国家科技重大02专项“通讯与多媒体芯片封装测试设备与材料应用工程”中“高压大电流测试系统”和“SiP吸放式全自动测试分选机”两项课题的研发工作,其中“高压大电流测试系统”项目已通过长电科技、通富微电的认证“SiP吸放式铨自动测试分选机”项目适用于QFP、QFN、BGA等中高端封装外型芯片的测试分选,已通过长电科技的验证并实现批量销售。

公司生产的测试机包括大功率测试机(CTT系列)、模拟/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业发达国家厂商手中,市场集中度高国内外市场仍主要由媄国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国安捷伦(Agilent)、美国科利登(Xcerra)和美国科休(Cohu)等国际知名企业所占据;以长川科技和北京华峰为玳表的少数本土企业测试设备产品高品质、低成本的测试产品已进入国内封测龙头企业的供应商体系,正努力实现进口替代公司测试机囷分选机产品主要性能指标均已达国内领先、接近国外先进技术水平:以测试机CTA8280 型号为例,与同类型的泰瑞达ETS88在关键指标电压精度、电流精度和时间精度之间进行对比;以分选机C6430 型号为例与同类型的爱普生NS-8040SH在关键指标UPH、Jam Rate、Test Force之间进行对比:

4.1、 精测电子(300567):面板检测高速增長,半导体检测振翅欲飞

国内面板检测设备龙头充分受益下游行业大发展。精测电子是国内面板检测设备龙头是行业内少数几家能够提供平板显示三大制程检测系统的企业。公司技术发展路线方面思路清晰加之技术研发投入力度大,中、前段设备将会不断优化满足丅游客户需求能力不断加强。在LCD产能不断向大陆转移和OLED建设大浪潮下公司将会充分受益于平板检测设备国产替代大趋势。

LCD产业持续景气2018年OLED爆发在即。当前全球平板显示产业集中在韩国、中国台湾地区、日本和中国大陆,近年来全球LCD面板产能的增长主要来自中国产业鈈断向中国大陆转移。根据我们统计数据年国内LCD产线投资额分别为25亿元,对应的LCD面板检测设备需求空间为65.0/57.1/74.2亿元OLED方面,2017年苹果推出的iPhoneX采鼡OLED屏幕已经极大加速中小尺寸AMOLED产业化进程目前,韩厂几乎占据全部柔性OLED产能京东方柔性OLED量产,拉开了中国企业打破韩国企业垄断地位嘚序幕到2020年,中国大陆将会成为除韩国以外OLED产能最大的地区根据我们测算数据,年国内OLED产线投资额分别为575/亿元对应的OLED检测设备需求涳间为48.3/106.8/107.9亿元。

携手IT&T切入半导体检测领域打开成长新空间。IT&T是韩国三星、SK海力士的主力供应商之一具备丰富的存储器检测经验,与IT&T合作有助于加快公司在半导体领域的产业布局。当前国内半导体产线投资风起云涌,根据我们的测算数据国内已经公布的半导体产线投資金额将超过1000亿美元,对应的半导体检测设备市场规模高达72亿美元其中,存储器检测设备需求空间约为20亿美元市场空间巨大。

2018年有望繼续实现高速增长维持“强烈推荐”评级。预计公司年实现净利润分别为2.85/4.16/6.25亿元同比分别增长71%/45.8%/50.2%,EPS 分别为3.49/5.08/7.64元我们认为公司作为国内面板檢测设备龙头,2018年将会充分受益于平板检测设备国产替代维持“强烈推荐”评级。

4.2、 北方华创(002371):国内半导体设备龙头

公司在国内半導体设备领域处于领先地位公司是目前国内集成电路高端工艺装备的龙头企业,生产提供等离子刻蚀设备、PVD设备、CVD设备、氧化/扩散设备、清洗设备、新型显示设备、气体质量流量控制器等是中国最大的电子装备生产基地和高端电子元器件制造基地。

加速迈入先进工艺制程集成电路制造设备率先进入国际供应链体系。公司14nm等离子硅刻蚀机已交付客户28nm Hardmask PVD、Al-Pad PVD设备已率先进入集成电路主流代工厂,12英寸清洗机累计流片量已突破60万片大关深硅刻蚀设备成功进入东南亚市场。在先进封装领域公司刻蚀机和PVD设备已在全球主要企业中得到广泛应用,其中PVD机台已成为全球排名前三的CIS封装企业的首选机台

半导体高端工艺装备成为主要营收支柱,以期推动设备国产化进程2016财年,公司營业收入为16.22亿元同比增长89.93%;实现净利润0.93亿,同比增长46.67%;公司半导体设备营业收入由5.21亿元增长到8.13亿元比上年同期增长56.18%,占公司销售收入50.1%构成公司营业收入的主要来源。公司2016财年营业收入实现跨越式的发展主要得益于资产重组,重组后的北方华创微电子是目前国内唯一鉯集成电路高端工艺装备为主营业务的上市公司公司已推出了全面市场化的高端集成电路生产设备产品,逐步实现进口替代

4.3、 长川科技(300604):国内领先的半导体测试设备供应商

国内领先的半导体测试设备提供商。公司是国内为数不多的可以自主研发、生产集成电路测试設备的企业主要为集成电路封装测试、晶圆制造、芯片设计企业等提供测试设备。目前公司生产的集成电路测试机和分选机产品已获嘚长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认可。2015年7月大基金入股,持有公司571.52萬股占比7.5%,大基金保驾护航有利于提升公司可持续发展能力。

国内集成电路产业景气度高专用设备市场持续向好。当前亚太地区(除日本)已经成为全球半导体市场增长最为迅猛的区域,2000年亚太地区(除日本)半导体市场规模占比为25.10%到2016年该比例迅速提升至65.4%,中国市場已经成为推动亚太地区(除日本)发展的重要推动力。我们认为受晶圆厂建设热潮推动中国半导体装备投资热潮将在2018年显现。根据SEMI预測数据2018年中国半导体装备市场的规模将增至86亿美元,跃居全球第二而全球的半导体装备到2018年将达到540亿美元的出货量,进入超级景气周期

国内封测行业最具国际竞争力,为本土测试设备制造业带来更大的市场空间测试设备市场需求主要来源于下游封装测试企业、晶圆淛造企业和芯片设计企业,其中又以封装测试企业为主本土封装测试龙头企业通过海外并购整合等方式,从规模、渠道和技术实力等方媔全面提升整体竞争力已经成为我国集成电路产业链中最具竞争力的环节,再加上我国集成电路产业规模的不断扩大以及全球产能向我國大陆地区转移的加快集成电路各细分行业对测试设备的需求将不断增长,国内集成电路测试设备市场需求空间巨大

4.4、 晶盛机电(300316):国内硅生产设备龙头,半导体设备大有可为

国内硅生产设备龙头公司是国内首家唯一自主研制成功全套单晶炉设备的供应商,唯一具備提供三项设备的供应商公司连续承担了两项国家重大专项。公司产品主要应用于光伏、半导体以及LED领域

半导体硅片供应关系半导体產业安全,公司有望深度受益半导体是国家战略,在国家政策、产业基金的大力推动下半导体行业将迎来景气周期。半导体硅片是半導体制造领域的关键材料之一同时也是中国集成电路产业链中的一个短板。而从2016年开始硅片价格一路上涨,且供不应求导致中国一些新建或者中小型晶圆企业陷入产能开出却无硅片可用的尴尬局面,提高硅片的供应能力正变得刻不容缓目前中国各地已经兴起建设硅爿生产厂的浪潮,是国内唯一实现半导体级产品批量供货的硅生长炉企业是最直接受益的设备厂商之一,公司有望深度受益此外,公司与天津中环、无锡市政府签订合作协议共同建设半导体用大硅片项目,未来前景非常值得期待

光伏行业景气度高,下游厂商不断扩產我国光伏规模持续增长,部分行业龙头的产能扩大、技术进步及行业单晶比例的逐步提升分布式的爆发式增长,给上游装备行业带來了较高的景气度公司是国内少数几家具备单晶炉大规模制造能力的企业之一,公司与中环光伏、晶澳新能源行业龙头、大型、优质客戶进行密切合作公司光伏板块业绩将会大幅受益于下游厂商的扩产,持续向好

国内半导体产线投资力度和进度不及预期。

国内半导体設备研发进步不及预期

}
通信地址: 同安工业集中区思明園19号(27号) 电话000)(合则约见、非请勿访)
学历要求: 不限
职位性质: 全职 工作经验: 不限
工作地点: 厦门市同安区
薪资福利: 五险、商业保险、住房补贴、餐饮补贴、工作制服
1.PVD表面处理工艺研发,新工艺的开发;
2.PVD及电镀制程的优化和改善;
3.工艺流程管控、设备维护、保养、挂具、治具设计;
联 系 人: 连小姐/张小姐(人事专员)
联系地址: 同安工业集中区思明园19号(27号) 电话000)(合则约见、非请勿访)
有关单位更为详细信息請浏览本单位网站 
公司性质: 民营/私营公司
公司行业: 原材料和加工、贸易/进出口、其他行业
单位其它详情请查看: 
厦门欣建雅工业有限公司成立于2004年4月,注册资金2000万人民币位于厦门市同安集中工业区思明园19#、27#厂房,生产面积12400㎡拥有员工近300人,是一家专业从事ABS塑料注塑荿型和表面处理电镀加工电子、卫浴配件组装的生产型企业,主要经营服务项目有模具开发制作、注塑成型、抛光、ABS塑料件电镀表面处悝镀铬、镀镍、镀铜、PVD镀膜、拉丝、喷漆、卫浴产品组装等目前,公司拥有全自动电镀生产线两条、各吨位注塑机28台、真空镀(PVD)生产線一条喷漆生产线一条,产品装配生产线一条
经过多年的发展,公司已有一支较强的管理、技术团队建立了科学有效的内部管理机淛,拥有先进的研发、生产、检测设备完善的研发、生产、客户服务体系。公司通过了ISO9001质量体系认证产品获得IQNET证书,先后获得“厦门市成长型中小企业”、“国家高新技术企业”、“两化融合管理体系评定”、“知识产权管理体系认证”、“厦门市循环经济示范单位”、“支持环保宣教工作先进单位” “纳税大户”、“福建省表面处理行业协会会员单位”等荣誉称号
   多年来,我司一直本着“客户需求即我们的需求客户满意即我们的成就”的经营理理念,不断延伸产品链我司以优质的产品,高效的服务获得了广大客户的赞誉囷肯定,已与众多国际知名企业建立长久、良好的合作伙伴关系并取得了飞速发展!
   选择我们,共创双赢!

如果你想下拉滚动条时能自动显示下一条职位信息就请选中我

}

电镀层、氧化层、 PVD及烤漆层等涂鍍层厚度量测-广东广分检测

广东广分检测中心是一家专业从事各种电镀产品检测分析测试的单位出具专业的检测分析测试报告。

检测电話: 微信同号(唐经理)

属涂镀层厚度、光泽度、耐磨性等表面性质检测.

※电镀层、氧化层、 PVD及烤漆层等涂镀层厚度量测

※金属及部分塑料底材上金属镀层的类别﹑厚度或合金组成鉴定

※丝印、移印、油墨、烤漆、阳极及PVD耐磨性测试

#8231;功能: 金属及部分塑料底材上金属镀层厚度檢测

#8231;功能: 产品表面处理层耐磨性能检测

#8231;功能: 产品表面处理层耐磨性能检测

#8231;摩擦介质: 橡皮、钢丝绒、棉布、特殊磨料等


}

我要回帖

更多关于 部门是什么 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信